KLA Stock: A Compelling Buy In Semiconductor Segment (NASDAQ:KLAC)

3d rendering robotic arms with silicon wafers for semiconductor manufacturing

PhonlamaiPhoto

Introduction

KLA Corporation (NASDAQ:KLAC) is a company that is both ‘under the radar’ for many investors and one that possesses an amazing set of strengths. It enjoys quite a wide moat and the wisdom of a strategic, consistent management that adds superb financial management to the company’s inherent technical advantages.

KLA dominates the process diagnostic and control (PDC) segment of the semiconductor equipment industry. During wafer fabrication processes, wafers are inspected for defects and dimensions in order to identify and correct problems. As chips decrease in size, they must meet ever-more-precise specifications. KLA’s tools help customers improve semiconductor die yields, accelerating development ramps and maximizing profitability.

KLA’s 50%-plus share in the PDC market and installed base of 48,000 tools sets the company up as a technological and knowledge leader. Its wide economic moat provide the company a strong competitive advantage.

The commitment of resources to RND and service relationships with customers also help mitigate effects of inherent semiconductor market cyclicality. The ability to set premium pricing for specialized products offsets sales troughs in a way that KLA competitors find difficult to match.

As chipmakers move systematically towards smaller circuits, new materials and additional process steps, KLA is well-positioned to supply their needs.

Its development of technologies such as EUV lithography illustrates how the company responds to evolving market needs. Extreme ultraviolet lithography (EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICS) for computers and other electronic devices. It uses a range of extreme ultraviolet (EUV) wavelength to produce a pattern by exposing reflective photomask to UV light which gets reflected onto a substrate, it is widely applied in the semiconductor device fabrication process.

EUV is just one example of how KLA matches technology/RND to real-world customer applications. The resulting production improvements translate to cost savings and build high levels of customer satisfaction.

The KLA Business Case

The KLA business case is clear and compelling. From the company website (Data Analytics | Chip Manufacturing | KLA):

“KLA’s data analytics systems centralize and analyze the data produced by inspection, metrology and process systems. Using advanced data analysis, modeling and visualization capabilities, our comprehensive suite of data analytics products support applications such as run-time process control, defect excursion identification, wafer and reticle dispositioning, scanner and process corrections, and defect classification. By providing chip and wafer manufacturers with relevant root cause information, our data management and analysis systems accelerate yield learning rates and reduce production risk.”

This summary succinctly presents KLA’s mission and the practical value that it provides customers:

  • KLA has built a product suite to help client companies create the best chip production processes;
  • The suite offers diverse data analytics tools whose primary value is in improving PDC functions;
  • These functions are multiple, each with a role to play in chip/wafer manufacturing; and
  • The KLA data analytics systems accelerate yield learning* rates and reduce production risks.

* Yield learning is a concept that fits into the function of yield management, (Yield Management System (YMS)), “…a data management, analysis, and tool system that collects data from the fab, especially during manufacturing ramp ups, and helps engineers find ways to improve yield…”

This company’s mission and methods to achieve build KLA’s business case:

  1. Develop technical specialties vital to the semiconductor production process;
  2. Package those in a suite of tools;
  3. Continue to massively engage RND opportunities to constantly improve customer-relevant tools and enhance customer engagement; and
  4. Provide active support that relies on an enormous knowledge base to help customers through problems that may arise.

KLA leverages its unique technological advantages, its reliance on RND spend, and its knowledge-base customer service orientation to drive revenue and profit.

Positive Metrics At The Core of the Bull Case

When studying any company and its prospects, including share price, metrics are a practical and revealing source. KLA’s financial history underpins its share price story:

Share Price

Share Price: Five Years (Seeking Alpha)

Actual and projected revenue readings and trends are also relevant:

Five Year Revenue

Revenue Trends (Seeking Alpha)

Despite supply chain restraints and issues shared by many companies and that may push some projects into 2023, KLA expects overall revenue to grow 20% in 2022. The services segment revenue should continue to grow nicely, expressed in troubleshooting and knowledge base help to customers. Its service contracts enable customers to solve process flow issues. This can reduce tool down time and improve production yields.

This is a symbiotic set of relationships. Customers with service contracts also contribute their own experience-rooted data to the KLA knowledge base. This is a prime example of a network effect, helping grow the knowledge base so its value to additional customers only grows.

Note: Sales in China and Taiwan together made up more than half of revenues (54%), and widespread customer adoption of EUV (which assists more efficient fab production) looks to continue boosting the wafer inspection segment.

KLA’s bull case thus revolves around its great technology, ongoing commitment to RND and beneficial mutual relationships with its clients towards enhancing customer productivity.

Let’s look at how Financials, Valuation and Operating Performance together form a tangible picture of KLA performance.

KLAC Financials

KLAC financials are extremely promising.

KLA Income

Income Statement (Morningstar)

Revenue has increased dramatically, especially between 2021 and 2022. Net income proportionally has risen even more, lowering the revenue/net income ratio. This is a sign of greater efficiency, with more revenue funneled into the income category.

Balance sheet data is similarly positive:

Balance Sheet

KLA Balance Sheet (Morningstar)

While total assets to liabilities have shown variation, 2022 ratios are positive. Total equity has skyrocketed in Q3 2022, while cash/equivalents remain at a very healthy level (2.58 billion dollars).

When it comes to critical cash flow metrics, the news is also good:

Cash Flow

KLA Cash Flow (Morningstar)

Year-over-year operating cash flow from 2021 to 2022 has escalated rapidly, up nearly 50% without even including not-yet-released Q4 numbers. The KLAC financials are very strong overall, with few weaknesses revealed.

KLAC Valuation

Financials

KLA Financial Metrics (Morningstar)

Some key KLA valuation metrics and implications:

  • Price/sales is below the five year average
  • PE ratio, at 13.81, is also below any reading of the past five years and well below the 22 average during that period
  • Forward PE is similarly below the five year average, though less dramatically than current PE
  • Price/Book ratio is elevated, mildly concerning
  • Price to Earnings Growth ratio (PEG) sits at 1.35, about 33% below the five year PEG average ratio for KLAC, and well below the 2.00 figure typically viewed as the ceiling for a positive reading
  • The KLAC earnings yield of 7.24 is easily the highest of the past five years and 50% above the average for the period
  • Enterprise value (EV) has declined, consistent with share price declines
  • So too have the derivative EV/EBIT and EV/EBITDA ratios.

My takeaway is that KLAC valuation metrics are excellent. P/S, PE, PEG and earnings yield are all encouraging.

KLAC Operating Performance

OP

KLA Operating Performance Metrics (Morningstar)

The company’s operating performance also reflects great strength. While most metrics here are very solid, some are exceptional:

  • Return on Assets (ROA) has increased steadily since the 2017 five-year starting point. A major jump is in store for full year 2022 compared to the 2021 ROA number.
  • Return on Equity (ROE) occurs at a tremendous rate and reflects a huge increase from 2021 to 2022, even based on TTM (partial) 2022 numbers.
  • Return on Invested Capital (ROIC) reflects another steady increase approximately on the ROA pace.
  • Gross margins were superb in 2017 and have continued at approximately the same level since. While this might appear to be disappointing, simply to maintain gross margins at about 60% is a sign of excellent sustained performance.
  • Operating margin closely resembles gross margin performance both in terms of high levels attained (40% range) and consistent repetition or execution of those levels over time.
  • Net margins are also a success story and also show a very positive increase for 2021 and 2022. 36% looks like the rate (give or take) for 2022.

KLAC Metrics Summary

KLAC has an exceptional set of financial, valuation and operating performance statistics. This is a company with real momentum, an expanding market presence and competent management that leverages advantages towards maximum financial performance.

Even weaknesses appear transitory. Metrics for enterprise value have declined based on share price reductions; these should self-correct as the market more fairly ‘weighs’ KLAC’s innate strengths.

Risks

KLA faces risks, as any company in a competitive marketplace will. Such risks include competition, KLA’s own execution in light of that competition and the stability of its leadership.

Competitors

KLA is uniquely positioned within the semiconductor manufacturing sector. It has a plus-50% share in the PDC sub-segment, a massive tool set and enjoys network effects from its service relationships. Competitors have a tough time detracting from the KLA story in a significant way.

Let’s look at one major player, Applied Materials (AMAT), and see how its operations might affect KLA’s prospects. AMAT, a huge player in the sector and a producer of wafer fab equipment, owns true breadth of product offering and subscription-based relationships with customers.

Still, this has little practical impact on KLA, with its dominance in a sub-sector, advanced technology and excellent service relationships strengthened by customer access to the KLA knowledge base.

Execution

There is always a risk of a break in successful execution whenever a company has been doing well for a period of time. In other words, nothing can be taken for granted in a competitive market environment. Maintaining momentum, revenue and profit growth is not a given.

If any leg of its strategic foundation falters, KLA’s results are likely to suffer. Yet, its history shows little evidence of a break in execution consistency; most evidence points to continued high-level execution and strong financial results with positive implications for long-term share price action.

Leadership & Continuity

Rick Wallace: President and Chief Executive Officer

“Rick Wallace serves as the Chief Executive Officer and President of KLA as well as a member of the company’s Board of Directors. He began as an applications engineer at KLA Instruments in 1988, and has held various general management positions throughout his 30+-year tenure with the company. Earlier in his career, he held positions with Ultratech Stepper and Cypress Semiconductor.”

Mr. Wallace and his colleagues (CFO Bren Higgins, Chief Technical Officer Ben Tsai and Chief Strategy Officer Bobby Bell, among others) own similarly long histories and records of success with KLA. While it is clear that they are closer to the end of career than the beginning – based on the chronology of biography – there is no evidence of some sudden group departure.

I would also infer that, based on their commitment and knowledge, the current leaders would select successors carefully and in the context of maintaining functional continuity.

Summary

KLA is a story with more chapters to tell. The company boasts a veteran leadership with vast experience in the industry and at KLA. It leverages technical advantages intelligently, building strong customer relationships that exploit existing tools and knowledge built up over time. These network effects redound to the company’s benefit with both existing and future customers.

I believe that KLA is a great semiconductor name to own for the medium and long terms. I have a full position personally in my own portfolio.

Be the first to comment

Leave a Reply

Your email address will not be published.


*