Taiwan Semiconductor Vs. Samsung Vs. Intel: Battle At The Leading Edge (NASDAQ:INTC)

3d rendering robotic arms with silicon wafers for semiconductor manufacturing

PhonlamaiPhoto

Introduction

Moore’s Law says the number of transistors within an IC chip will roughly double every 2 years. As the semiconductor space moves toward higher transistor density and power efficiency, the gap between winners and losers continues to widen, with only three companies capable of competing at the leading edge. This article will discuss the battle between Taiwan Semiconductor Manufacturing Company Limited (NYSE:TSM) (“TSMC”), Samsung Electronics Co., Ltd. (OTCPK:SSNLF) and Intel Corporation (NASDAQ:INTC) in the production of the world’s most advanced semiconductor technology.

Competitors at nodes

JPM

The 30,000-foot view

The semiconductor industry began its journey into advanced processes (7nm and below) as early as 2018, when TSMC and Samsung Foundry achieved mass production for the 7nm process using the FinFET transistor architecture. Samsung was the first amongst its peers to adopt AMSL’s EUV equipment for the 7nm process, while TSMC subsequently embraced EUV at 7nm+.

TSMC, Samsung and Intel roadmap

Company data, Albert Lin

As Moore’s Law predicted, both TSMC and Samsung made their ways to the 5nm process in 2020, while Intel did not launch its 12th-generation Alder Lake PC CPU made with Intel 7 (formerly Intel 10nm Enhanced SuperFin and equivalent to TSMC’s N7) until 2H21.

In June 2022, Samsung was the first to mass produce the 3nm process, followed by TSMC’s N3 production ramp in 4Q22. Intel 4 (equivalent to TSMC’s N5/N4) will be Intel’s first process node to utilize ASML’s EUV technology, with the 14th-generation Meteor Lake CPU expected to launch in 2023.

Beyond the 3nm process, the industry will largely switch to a new transistor architecture called GAAFET (Gate-All-Around field-effect transistor), which Samsung is already using with its 3nm node. TSMC will employ the GAA structure for its N2 family, while Intel’s marketing name for its GAA-based 2nm process is called RibbonFET.

FinFET vs. GAAFET

Lam Research

The GAA technology puts multiple horizontal nanosheets on top of one another and surround these channels with gate materials on all sides. This offers higher current-carrying capacity than FinFET, which would require putting multiple vertical “fins” besides one another to increase the flow of electricity. From a consumer perspective, an electronic device using a GAAFET-based chip will ideally run faster and consume less power vs. one that runs on chips made with FinFET.

Intel: Delays after Delays

Intel was once a dominant force in the semiconductor space with more than 80% of the PC CPU market. But the chip giant has been struggling to keep up with Moore’s law with consistent delays that have given its biggest competitor, Advanced Micro Devices (AMD), a significant advantage. The company spent 7 years at the 14nm process, and did not start producing 10nm (equivalent to TSMC’s 7nm) until 2019. The 10nm process node was supposed to launch in 2017, or 2 years ahead of AMD’s Zen 2 on TSMC’s N7.

Following a delayed timeline for 10nm, Intel 7 (previously Intel 10nm ESF and equivalent to TSMC’s N7) also experienced issues and did not launch the 12th-gen Alder Lake desktop and notebook CPU until 2H21, almost 2 years behind AMD. The 13th-gen Raptor Lake CPU platform just launched on 10/20 and will compete against AMD’s Zen 4 on TSMC’s N5.

Intel vs. AMD roadmap

Company data, HSBC

The 14th-gen Meteor Lake (built with Intel 4, equivalent to TSMC’s N5/N4), however, is rumored to be delayed from 2H23 to 2024. While Intel did not confirm this, a potential delay in Meteor Lake to 2024 will likely give AMD another 1-2 years of advantage with its 5nm products. Note that Intel 4 (previously Intel 7nm) will be the first process node to use ASML’s EUV technology, while TSMC and Samsung already started adopting EUV in the 7nm process.

Beyond Intel 4, Intel will transition to the GAA transistor architecture with the planned launch of Intel 20A (A stands for Ångström, where 0.1nm = 1A) in 2024 and Intel 18A in 2025. Intel 20A’s first major customers include Qualcomm (QCOM) and AWS (AMZN), where AWS will be using Intel’s packaging solutions. Intel 18A will be using ASML’s latest EUV technology called the high-NA EUV. Considering the possibility of Intel 4 being delayed to 2024, however, the timeline for these two process nodes seem aggressive, in my view.

Process delays aside, Intel is also looking to raise CPU average prices by 10-20% in 4Q22. While the motive behind such a move is understandable as management is under pressure to seek gross margin upside, the timing of the price hike cannot be worse given the PC market is experiencing a downturn following an unusual 2021 and 2020 driven by pandemic tailwinds.

Overall, Intel is materially behind in technology and is raising prices in the least favorable environment possible as elevated PC inventories will likely stretch into 1H23. The market is not looking for much given 2023 consensus estimates currently call for $66.8 billion in revenue (+2% YoY vs. est. -12% in 2022) and EPS of 1.82 (-28% YoY vs. est. -48% in 2022), which comes down to a forward 1-year P/E of slightly under 15x. As much as many may argue the stock is a bargain, I see shares as a value trap, as Intel has mostly lost its way.

Samsung: The Runner-Up

Samsung has ambitions to be the leader in the foundry business given it was the first in the industry to adopt the EUV tool and to produce 3nm using the GAA transistor architecture. Production for the 1st-gen 3nm GAA began in June 2022, with the 2nd-gen 3nm GAA expected to ramp in 2024. TSMC, on the other hand, will stay with the FinFET architecture for its 3nm process.

The first customer of Samsung Foundry’s 3nm is PanSemi, a Chinese crypto ASIC designer for Bitcoin mining. Qualcomm (QCOM) is also reported to be on the client list, but will likely take a more conservative stance as the chip designer previously cut 4nm chip orders from Samsung due to poor yield. Broadcom (AVGO) is another name that may place orders for Samsung’s 2nd-gen 3nm process. Intel could potentially outsource its 3nm products, but will likely choose TSMC over Samsung. Lastly, Apple (AAPL) is unlikely to become a customer given conflicts of interest in the smartphone and tablet market.

Nvidia (NVDA) and AMD could also be potential customers for 3nm, but both will probably prioritize TSMC and treat Samsung Foundry as a possible second source. It’s widely understood that Nvidia’s GPU shortage during the pandemic was due to poor yield at Samsung’s 8nm process. This was the reason why Nvidia decided to move its RTX 40 series GPUs back to TSMC’s 4nm process.

Since 2017, Samsung’s market share in the foundry space has been mostly unchanged at ~16%, as TSMC remains a dominant player that wins the most customers. Production yields and economies of scale are two key factors that determine profitability at the leading edge. This is where Samsung is having difficulty due to subpar quality and much smaller scale. TSMC’s foundry capacity is >2x that of Samsung Foundry and >3x at the leading edge (7nm/5nm/3nm), with significantly higher Capex that represents almost 60% of 2022E foundry Capex vs. Samsung’s <20%.

Samsung vs. TSMC OPM

Company data, JPM estimates

Despite yield issues, Samsung still managed to keep Qualcomm as a customer in recent years due to its favorable pricing strategy based on good die only (DigiTimes). This speaks volume to Samsung’s troubled production history and whether its 3nm process will overcome quality challenges remains a major question. Google’s Pixel phones also went with Samsung Foundry given Samsung’s lower pricing, but estimated shipment in 2022 will likely be in the millions, a figure that will not contribute to Samsung’s bottom line in any meaningful way.

Overall, Samsung’s stock may still depend primarily on its performance in the memory and smartphone space. While some investors may see the foundry business as a long-term driver that’ll help re-rate shares as logic may attract a better multiple than memory (commonly seen as a commodity), Samsung Foundry remains a “show me” story as customers have historically experienced poor yields that led to product delays and lost sales. The stock currently has a forward P/E of just below 12x, as the Street expects muted revenue growth (+2%) in C2023 given a worrisome outlook for smartphone and memory. As a result, I’d remain on the sidelines until there’s convincing evidence that suggests above-expectation results at Samsung’s leading edge.

TSMC: The Undisputed King

TSMC is the undisputed leader in the foundry race with a 56% market share (as of 2Q22) and 85%/90% share in 7nm/5nm. In the most recent earnings call (analysis here), management noted the 3nm process is on track for mass production in 4Q22, with revenue contribution in 2023. As is the case with any new technology, N3 will impact 2023 gross margin by 2-3 points due to initially higher depreciation expenses. Nevertheless, TSMC is very well-positioned to have a monopoly in the 3nm process, should Samsung continue to experience trouble.

Contrary to Samsung, TSMC’s N3 will be using the more tried-and-true FinFET architecture that comes with lower execution risks. While the GAA transistor architecture is 90% similar to FinFET, the remaining 10% difference that comes from stacking horizontal nanosheets on top of one another is extremely difficult. As a result, TSMC is likely to experience fewer issues with N3 until it switches to GAAFET in N2, which is expected to enter production in 2025.

Apple will be the first major customer to use TSMC’s N3 for the iPad, while the iPhone 15 Pro A17 processor and the M3 chip are expected to use TSMC’s upgraded version of N3 called N3E, which will come online in 2H23. The N3E will also likely see Intel as a major customer for PC chiplets, on top of other potential clients such as Nvidia, AMD, Qualcomm, Mediatek and hyperscalers like AWS.

As strong as TSMC’s prospects may be at the leading edge, the company isn’t immune to economic cycles, as the N7/N6 family will experience some headwinds due to elevated smartphone and PC inventories that may last through 1H23. Markets are bracing for a drop in N7 capacity utilization to as low as 80% in 1Q23, which could put TSMC’s long-term gross margin target of 53%+ at risk (50% in 1H23?).

That said, TSMC still expects 2023 to be a growth year driven by strong HPC demand while the broader semiconductor industry is to contract by 2.5% per Gartner. As smartphones enter a relatively mature phase of the product lifecycle, HPC may account for >50% of TSMC’s revenue in the next several years thanks to continuous investments in digital transformations including 5G, AI/ML, cloud and data center.

As of writing, TSMC’s shares are trading near the low end of its historical range at ~12x NTM earnings (vs. 2015 August low of ~10x). The valuation gap between TSMC and other semiconductor peers such as ASML (25x), Nvidia (29x) and AMD (15x) can be explained by investors avoiding Taiwan’s geopolitical risks that have been amplified by the Russian-Ukraine crisis and increasing military threats from the Chinese Communist Party.

While the fear is understandable, it’s difficult to imagine how semi peers can sustain their valuation premiums in the extreme case that TSMC is nationalized by the CCP and the West loses its biggest foundry supplier and customer for mission-critical semiconductor equipment. In my view, TSMC’s geopolitical risks have created an attractive risk/reward profile from a relative valuation standpoint, and investors willing to take the long view from here should be handsomely rewarded once the industry gets through the current down cycle.

Conclusion

In the cutthroat race of leading semiconductor technology, TSMC is the clear winner, while Samsung remains a “show me” story, and Intel needs a miracle. I continue to see shares of TSMC as attractive given markets continue to find reasons to shun the name based on the current downturn and negative geopolitical news flow. As a result, I believe investors willing to stick with this leader through thick and thin are well-positioned to generate above-market returns once the current cycle finds a bottom and fears on the geopolitical front start to dissipate.

Be the first to comment

Leave a Reply

Your email address will not be published.


*