WFE Investment Analysis: KLA Tencor, Applied Materials, And Others (NASDAQ:AMAT)

Computer Chip Company Lam Research To Purchase KLA-Tencor

Justin Sullivan

Latest Quarter Recap

The narrative across the major WFE names is that they are succeeding in making gradual improvements in operations and supply chain management, though, the overall conditions remain very challenging. Generally, the expectation is that supply constraints will persist deep into CY23, though incremental adjustments will continue to have a positive impact.

Each WFE vendor also shares the experience that consumer demand has materially softened. This has affected logic and memory; however, the latter, with it being more cyclical, has been hit harder, leading to memory chipmakers scaling down capacity plans. In the long run, the WFE vendors still have high confidence thanks to the ongoing technology inflections. Those that involve bringing greater performance like EUV and transistor shrinkage, 3D gate architectures, and advanced packaging, as well as those demand drivers like auto, IoT, 5G, and HPC (High Performance Compute). In summary, while there is greater volatility, there will be continued capex in cutting-edge and mature nodes, but in the near-term memory demand will decline.

Market Positioning Analysis

We’ll begin the analysis by summarising the market strengths of each WFE vendor, because this will help us assess their respective outlooks and hence help guide the growth factor in the valuations. The diagram below lists the #1 and #2 vendors, as measured by market share/position, to each section of WFE. You’ll notice a few empty spaces in the #2 spots: this is because the #2 is a vendor we haven’t covered and we thought it would be better to only include the names we’ve covered so far.

We’ve also added the Disruptor which has the potential to challenge the incumbent leaders. The sections highlighted in green are the ones that seem destined to grow in importance during the next few years – which actually includes the majority.

WFE Market Positioning Analysis

Convequity

At a glance, Applied Materials (NASDAQ:NASDAQ:AMAT) and Lam Research (LRCX) are in strong market positions at present; both are either #1 or #2 in a number of WFE sections that are growing in importance. AMAT is the unquestioned leader in the deposition category, and more specifically, PVD (Physical Vapour Deposition) and ALD (Atomic Layer Deposition). PVD and ALD are becoming increasingly important for advanced packaging that requires shorter and denser interconnects and wiring. Backside power distribution is another technological innovation, hoping to deliver big performance improvements, in which AMAT can capitalise on with its deposition leadership. On the whole, we consider AMAT in a strong position with a low risk factor, and as you’ll see later, this is incorporated in the valuation process.

The same commentary on deposition applies to LRCX also. LRCX’s main advantage, however, comes from their leadership in ALE (Atomic Layer Etching), which is a controlled form of etching that is very much required for producing the intricacies involved in 3D structures. LRCX’s management has specifically targeted the GAAFET market in recent earnings calls, and we agree that ALE probably gives them an advantage to succeed. Additionally, LRCX has the potential to shakes things up in the coating category with its dry resist method – it has recently acquired SK Hynix as a dry resist customer, the second largest memory chipmaker behind Samsung. LRCX is diversifying into more foundry/logic which should translate to higher gross margins eventually. Though, given LRCX’s higher memory exposure, the decline in DRAM and NAND demand is a notable negative to business in the intermediate term. This poses higher risk to LRCX, and we reflect this in the valuation process with a medium risk factor.

Tokyo Electron (OTCPK:TOELY) has a very broad portfolio across WFE, however, it is #1 or #2 in relatively fewer sections. And in coating, where it is a dominating leader with 100% market share for EUV resist, there is the potential to get disrupted by LRCX’s dry resist alternative, which claims a better RLS trade-off and a doubling of EUV throughput. Furthermore, Inpria, that was acquired by JSR, has developed an alternative wet resist for EUV, known as MOR (Metal Oxide Resist), that can also better optimise the RLS trade-off. TOELY’s wet resist is pretty much hitting the scaling limits, and in response they have actually formed a partnership with JSR. This will keep them relevant in the EUV resist game as the industry further shrinks down transistors when EUV moves to double patterning and then High-NA. The downside is, they won’t be compensated as the sole innovator. On the whole, at this juncture we think there is materially more downside than upside for TOELY, though, the company is very diversified with strong relationships with Japanese fabs. Taking these thoughts into account, we assign a medium-to-high risk factor for TOELY in the valuation process.

ASML Holding (ASML) has a very advantageous market position within WFE. They are the clear leader in lithography – dominating both DUV and EUV – and have no contender in sight. And cutting-edge node R&D and HVM is highly dependent on EUV, making ASML arguably the primary beneficiary of the global mega trends pushing Moore’s Law. We would say ASML also has the potential to disrupt in metrology & inspection, enabled by their EUV technologies that can measure dimensions and detect defects at the tiniest of sizes. Taking the aforementioned into account, we’ve assigned a low risk factor to ASML in the valuation process.

Out of the six vendors in this analysis, we think KLA Corp. (NASDAQ:NASDAQ:KLAC) has the most favourable market dynamics. Similar to TOELY in the coating category, KLAC is the dominating market leader in metrology & inspection, aka process control (PC). KLAC’s unique advantage is that PC is interwoven into various steps across the WFE stages. EUV is fraught with risks, which has made PC more important than ever before, and behind lithography, it is arguably the most critical component of WFE. So, as EUV adoption increases, so will the demand for more PC and for higher quality PC – an ideal landscape for KLAC. A longer-term risk relates to KLAC’s mask inspection business. Currently, they can compete with LSRCY for EUV mask inspections at the 7nm and 5nm design nodes, though, LSRCY will have a widening advantage as nodes get smaller. Mask inspection, or more specifically EUV mask inspection, is a small part of the overall business, however, so we consider this a minor risk. Having deliberated on the above, we’ve assigned KLAC with a low risk factor in the forthcoming valuation process.

Lasertec (OTCPK:LSRCY) is a niche player in EUV mask inspection but they have a monopolistic market position, not dissimilar to that of ASML. Rivals can compete with other methods at 5nm and 7nm, but their differentiation will become transparent when double patterning is introduced and then again when the cutting-edge moves down to smaller nodes. LSRCY uses EUV light to inspect the EUV mask and detect defects, which is a completely unique approach, and as CDs get smaller and intricate, it looks like LSRCY will be the only player to effectively inspect EUV masks. We’ve assigned a medium risk factor to LSRCY’s valuation – which is effectively a combination of a low risk derived from its monopolistic position and a high risk associated with it being small cap name (that is, a small cap for the semiconductor industry).

Financial Analysis

The financial and valuation analysis is available to view in this Google Sheets link. In order to provide some commentary, we shall form discussion around some screenshots from the analysis in the remainder of the report.

The first part of our analysis is to compare key financials and financial trends. KLAC is the standout name here as, in the latest two quarters, they have bucked the overall trend of decelerating growth for the semiconductor industry. KLAC is also sustaining upward trends in profit margins, and as a result has also increased their cash balance. In particular, KLAC’s gross margin is very different from the rest, and we infer that this is attributed to them gaining COGS leverage thanks to their PC spanning across the entire WFE production cycle. ASML has also managed to continue the upward trend of increasing margins; however, these margins have been very volatile overall due to the high-value/low-volume nature of its business. On the whole, despite recent sharp growth decelerations, all WFE companies have managed margins well. Note: these trends are not mathematically determined so before investing in any of these companies based on this analysis, please check for yourself. And please note that these figures are TTM.

Financials and Trends Analysis

Convequity

With the exception of LSRCY, all WFE vendors have impressive metrics to consider: ROE, ROIC, Rule of 40, and revenue per employee range from good to excellent. Though, KLAC, again, is the standout name, with very high ROIC and Rule of 40 (its ROE has recently spiked up because of a $3.2bn debt issuance in 1H22). Additionally, KLAC’s current share buyback percentage aligns with a focus of returning capital to shareholders, and they intend on paying out 85% of free cash flow to shareholders over the next few years.

WFE Financial Metrics Analysis

Convequity

Valuation Analysis

  • KLAC’s financial performance is being reflected in its valuation relative to the others, but in our opinion, not excessively.
  • AMAT looks like a great bargain right now, and so does LRCX, but the EV/FCF LTM is a bit high.
  • TOELY’s multiples are low but we’re not surprised given our assessment on its market outlook.
  • ASML is commanding a premium thanks to its undisputable monopoly and higher public awareness, but if you were to prioritise EV/FCF in this evaluation, then the stock looks fairly attractive.
WFE Valuation Analysis

Convequity

Now we’ll move onto the growth outlooks for each vendor. The importance of WFE has grown and will continue to grow as it is the key enabler for extending Moore’s Law, and/or various modifications of Moore’s Law. Resultingly, it seems as though more value will shift upstream to be captured by the leading WFE names. This is why, on the whole, we think WFE will grow slightly more than the overall semiconductor industry during the next several years.

WFE Growth Outlook Analysis

Convequity

For the next five years, for KLAC and TOELY, we’ve simply used the long-term guidance given, which is 9%-11% and 8%-9%, respectively. AMAT and LRCX haven’t offered long-term guidance, though, as we consider these more beta stocks, we suspect they will loosely grow in line with the larger semi industry. The growth outlooks for ASML and LSCRY are far more uncertain due to EUV litho equipment business being extremely high-value and low unit volume. If one customer adjusts their capex plan, it will have a notable impact on bookings and recognised revenue. Therefore, the 15% and 25% growth projections are what we think are conservative forecasts bearing in mind the desire for cutting-edge fabs to fully adopt EUV.

As per normal, our valuation exercises include FCF, and therefore we think it’s more appropriate to prioritise the EV/FCF multiple. To adjust for forward expectations, in a similar way to the PEG factor, we have decided to divide EV/FCF by the average 5-year growth forecast. From this, again KLAC looks like a good value, but so do ASML and AMAT, and to a lesser extent LRCX and TOELY. However, we think consideration of the market risk is very important here. As per our earlier commentary around market positioning and risks, AMAT’s, KLAC’s, and ASML’s low risk factors combined with their relatively low EV/FCF/Growth, makes them look the most attractive, in our opinion. We shall see if this corroborates with the DCF valuations coming up next.

Firstly, before we go into any detail regarding the DCF valuations, we would like to point out that we are seriously considering investing in each of these stocks, with the exception of TOELY. The discounts to intrinsic value presented below, are not significant but bear in mind that we’ve purposefully been conservative with the parameters – perhaps slightly with the growth forecasts, by adding the risk factors, and by only doing a 5-year explicit forecast period. As shown earlier in the metrics table, each company has attractive ROIC that is greater than the WACC, and hence any growth beyond the 5-year period is going to generate additional shareholder value. So, to us, having applied conservatism and considering the 2022 drawdown, we believe this analysis represents the lower bounds of the intrinsic valuations for most scenarios that may unfold.

WFE DCF Valuation Analysis

Convequity

The terminal EV/FCF of 20x for AMAT, LRCX, TOELY, and KLAC is derived from research by NYU Professor Aswath Damodaran on his website. Basically, the industry average EV/EBITDA for semi equipment is 19.4x, so in absence of any historical EV/FCF data we’ve used this as a guide. We also got the semiconductor industry average WACC from Damodaran’s website. ASML and LSCRY are special cases, however, so we’ve applied a higher terminal EV/FCF for these stocks. The FCF margin forecasts are strongly anchored to what each company is generating right now – but the estimates for ASML and LSRCY are far more uncertain. KLAC’s FCF margin is notably higher thanks to its advantageous gross margin that we surmise is due to PC (metrology & inspection) being heavily needed throughout the WFE stages, and thus gaining COGS and operating leverages from that.

In regards to the intrinsic valuations, KLAC comes out on top again, though we also like AMAT and LRCX. Given these valuations are generally based on conservative parameters, we also think ASML and LSRCY are worthy considerations, especially if/when strong risk-on sentiment eventually returns.

Financials & Valuation Summary

If we were to rank the investment opportunities by evaluating the market positioning analysis, the financials, and valuation aspects, we would say #1 is KLAC, #2 is AMAT, #3 is LRCX, ASML, and LSRCY, and a distant #4 is TOELY. However, whilst being fairly comprehensive, this analysis hasn’t yet considered recent share price action. If we are to factor in recent price trends, then perhaps AMAT looks like the best investment opportunity going forward.

WFE Share Price Comparisons

TradingView

AMAT is what we consider lower risk and its breadth and quality will ensure it is capitalising on the major technological innovations in a significant way. Additionally, it has lower multiples, a low EV/FCF/5-yr Growth, a discount to fair value, high ROE and ROIC, and has managed to sustain its margins in tough conditions which shows signs of a well-run and adaptable business. And the icing on the cake is that the stock has fallen 30% in the past 12 months, whereas KLAC remains flat YoY.

Conclusion

There are intermediate-term headwinds coming from continued supply chain constraints and softening consumer demand, but the longer-term outlook appears to still be intact, driven by innovation and secular themes. From a market positioning, financial performance, and valuation perspective, we really like the look of KLAC. AMAT, comes a close second, however, and the 30% YoY decline makes one think that there might be a sentiment change once the macro dust has settled. We also like LRCX but in the intermediate-term, the high memory exposure is a concern. And considering ASML’s monopoly, outlook, and sharp drawdown, they also look fairly attractive. LSRCY is clearly the trickiest stock to value because of its smaller and niche nature. Its valuation is high but for the more risk-taking investors it might still be worth an allocation.

Be the first to comment

Leave a Reply

Your email address will not be published.


*