ASM International: A Strong Semiconductor Play (OTCMKTS:ASMIY)

3d rendering robotic arms with silicon wafers for semiconductor manufacturing

PhonlamaiPhoto

Investment Thesis

Only very little is being written about ASM (OTCQX:ASMIY) (OTCPK:ASMXF) on Seeking Alpha and this really surprised me. The company is a fast-growing manufacturer of machines used to manufacture semiconductors. The company delivers important machinery for wafer processing. It developed 2 important technologies crucial to keep up with Moore’s law. These are Atomic Layer Deposition (ALD) and Plasma Enhanced Atomic Layer Deposition (PEALD).

This will be my initial coverage of ASM International in which I will take you through the company and its technologies, and financials, and show you why you should own this company (or not).

ASM International (ASM)

ASM is a Dutch company founded in 1968 and headquartered in Almere, the Netherlands. The company had revenue of €1.7 billion in 2021 and employs 3312 employees. The company is active in over 14 countries worldwide with its manufacturing in Singapore, South Korea, and the Netherlands. ASM is a pioneer in the industry and is active in several parts of wafer processing, including lithography, deposition, ion implant, and single-wafer epitaxy. ASM developed two technologies: advanced atomic layer deposition (ALD) and Plasma Enhanced Atomic Layer Deposition (PEALD). ASM sells its products to fabs worldwide with the goal of making integrated circuits or chips smaller, faster, and more powerful.

Advanced atomic layer deposition (ALD)

ASM is the leading manufacturer of ALD equipment. Atomic layer deposition is a thin-film deposition technique. Here is an explanation regarding ALD:

Most ALD reactions use two chemicals called precursors (also called “reactants”). These precursors react with the surface of a material one at a time in a sequential, self-limiting, manner. A thin film is slowly deposited through repeated exposure to separate precursors. ALD is a key process in fabricating semiconductor devices, and part of the set of tools for synthesizing nanomaterials.

ASM expects the ALD market to grow by a CAGR of 16%-20% from 2020 to 2025. Bank of America analysts expect the market to grow by a CAGR of 17% over the same period. The other technique I mentioned earlier was Plasma Enhanced Atomic Layer Deposition (PEALD). PEALD is a further advancement on ALD. It enables improved film properties at lower temperatures. Thanks to ASM leading these technologies, it is expected revenue will stay resilient for ASM during economic downturns. These technologies are crucial to building smaller chips. ALD is the only way to be able to make the step to 2nm semiconductors and smaller.

Financial results

Last July, ASM reported their 2Q22 results. ASM saw record-high new orders coming in at €943 million. This was 83% YoY growth and acceleration compared to previous quarters. In 2Q21, new orders grew by 73%, and in 1Q22, this was 72%. Revenue for the quarter grew to €559.5 million, showing 36% growth YoY. The operating result also grew to €147.6 million and a gross margin of 47.5%. The gross margin was slightly lower YoY. The company showed overall strong results and saw no slowdown despite economic turbulence. This is what management added:

ASM again delivered a very strong quarter, with record high orders, revenue, and results. While parts of the semiconductor end-markets, mainly PCs and smartphones, recently slowed down impacted by weakening macro-economic trends, the overall demand for wafer fab equipment continues to be strong and broad based. Our order intake surged by 73% at constant currencies to a new record high of €943 million in Q2. Our orders were boosted by robust new node spending in the logic/foundry segment and our recent wins in memory, particularly for ALD gap-fill in 3D-NAND and continued adoption of HKMG in DRAM. At the same time, operating profits improved by 25% and free cash flow amounted to a healthy level of €121 million in Q2. Finally, we are very excited with the recent announcement that we reached an agreement to acquire LPE, which will add another high-growth business to ASM’s portfolio.

graph

ASM International

graph

ASM International

As stated above, free cash flow was also strong and ASM announced that it acquired LPE. This is what they said about LPE:

LPE is a manufacturer of epitaxial reactors for silicon carbide (SIC) and silicon, based in Italy. The acquisition will complement ASM’s leading position in silicon epitaxy solutions for the power electronics, analog and wafer markets with LPE’s offering of advanced SiC epitaxy tools. ASM will finance the transaction using a combination of cash and shares, representing an enterprise value of €425 million, on a cash and debt free basis, at the date of signing.

LPE’s revenue is expected to grow to more than €100 million in 2023, mainly driven by its SiC epitaxy equipment business. ASM expects demand for epitaxy equipment to grow at a CAGR above 25% from 2021 to 2025, driven by a rapidly expanding EV market.

Outlook

In a couple of weeks, ASM will report its 3Q22 earnings. During the last earnings call, management remained positive. They projected supply chain problems to remain challenging and expect revenue to come in at €570-600 million, which is a strong improvement YoY. The company expects backlog to remain high thanks to more new orders coming in, but also because supply constraints will limit shipments in H2. Management remains confident in their FY22 outlook of mid- to high-teens percentage growth, although they do expect it to come in at the lower end of the outlook, because of the supply chain disruptions. The problem here is not demand, but the amount of shipments ASM can realize, which is a good sign. Supply chain problems should start to ease into 2023.

The long-term outlook for ASM also remains strong. Global wafer equipment spending is expected to keep growing, which is a strong industry tailwind for ASM.

graph

ASM International

ASM expects revenue to come in at €2.8 to €3.4 billion in 2025 with a CAGR of 16%-21% (FY20-FY25). Gross margins should remain between 46%-50%. For the next few years, ASM has its financial priorities clear and presented its 4 priorities in order:

  1. Invest to support future growth (R&D, Capex, M&A)
  2. Maintain a strong balance sheet (Increase minimum cash position towards €600 million).
  3. Sustainable dividend payments.
  4. Return of excess cash to shareholders.

This brings me to the financial position of ASM. The company ended the quarter with a solid cash position of €552 million and no debt. The dividend was €2.50 per share, a 25% increase compared to last year. The forward (annual) dividend yield comes in at 1.11% and that gives us a payout ratio of 21.57%, which indeed, is very safe and well supported as the company confirms in its plans. ASM has been paying its dividend for 12 straight years now.

graph

ASM International

Conclusion

ASM International is a massively underrated company by investors, and as I said at the start of this article, therefore I was surprised to see the little amount of coverage this company gets. ASM has a very strong position in its industry as Berenberg Bank and Bank of America confirm in their analysis. The equipment ASM manufactures and dominates in is seen as crucial to keeping up with Moore’s law. If companies such as TSMC (TSM) want to make even smaller semiconductors of below 2nm, ASM machines are crucial.

We do, of course, need to mention China when we are talking about semiconductors. It is important to note that, according to Berenberg Bank, ASM is not impacted by the semiconductor restrictions from the US. This will have no impact on any business of ASM.

ASM is highly connected to the global chip industry and a slowdown here would probably mean a slowdown for ASM. On the other hand, the strong backlog will support ASM over the coming years, and demand, so far, seems to remain sticky. ASM has a strong moat and keeps on innovating, developing, and acquiring extra knowledge through M&A. The company has a strong cash position and is highly profitable, despite high R&D.

So far this year, the company had a hard time, together with the whole semiconductor industry. ASM is down over 43% YTD, performing worse than the S&P 500, which is down 23% YTD. This drop for ASM combined with the still growing business and strong financials does create a discount.

graph

ASM YTD (Yahoo Finance)

The company is trading at a forward P/E of just 16.72 and this is right on top of its 5-year median and the current sector average. The problem for me is that the company is growing way faster right now than it did over the last 5 years. Growth is also almost double the sector average. ASM is for sale by any metric. Because of this, I highly recommend buying ASM on this weakness. It has a strong balance sheet with no debt, which is great in times of high-interest rates. Growth remains strong and ASM will play an important role in the future of semiconductors.

With this initial coverage of the company, I rate it a buy.

Be the first to comment

Leave a Reply

Your email address will not be published.


*