ASML: Growing And Profitable Monopoly Franchise (NASDAQ:ASML)

ASML headquarters in Silicon Valley. ASML, a Dutch company, is the largest supplier in the world of photo-lithography systems for the semiconductor industry

Michael Vi

Company overview

ASML Holding (NASDAQ:ASML) is one of the world’s largest developer, manufacturer and supplier of semiconductors manufacturing equipment. The group sells lithography systems to semiconductor manufacturers such as TSMC (TSM), Samsung (OTCPK:SSNLF) or Intel (INTC). These lithography systems enable chipmakers to print with a high degree of precision the patterns that form the electronic circuits on a chip. The company is headquartered in Amsterdam and has a market capitalization of around € 188B.

ASML produces two kind of lithography systems: Deep Ultraviolet (DUV) and Extreme Ultraviolet (EUV). DUV is an old technology that has evolved over time while EUV is a new state-of-the-art technology. EUV technology has been developed by ASML, which is the only provider of EUV machines. This technology is very complex and enables to produce light with much shorter wavelengths than DUV light (13.5 nanometer, which is 14 times shorter!). The shorter the wavelengths, the higher the precision and the thinner the lines printed on the microchips, which allow semiconductor manufacturers to increase the number of transistors on a chip, thus significantly improving its performance. The thickness of patterns and details etchings can now be up to 5 nanometers, which is impressive given a 5 nm etch is twenty thousand times thinner than the thickness of an A4 paper. To be fair, more advanced DUV systems (immersion systems) can also produce up to 5 nm chips but the process requires multiples immersions (given DUV systems etch 32 nm patterns per single exposure) while EUV can do it at once, resulting in better productivity and lower production costs. According to Morningstar, such technology reduces the cycle-time by 3-to-6 times and reduces costs by 15% to 50% compared with multiple patterning schemes. In addition to lithography systems, ASML also sells metrology and inspection systems that measure the quality of patterns on chips and help to locate and analyze chip defects.

ASML generates three quarters of its revenue with the sales of systems and one quarter with the sales of services.

Revenue split

Source: Annual report

Moats

ASML has strong intangible assets backed by technological superiority (only player to have developed EUV systems), well-educated and specialized workforce and large R&D spending. The company has spent 17.5% of its revenue over the last decade in R&D, which represented an R&D budget of € 750M a decade ago and amounts € 2.5B nowadays. Total R&D spending amounts € 14.2B over the last decade and they have started to develop this technology at least since 2005. Besides, the company has a strong relationship with its key customers, which participate to the development and financing of the EUV technology. In 2012, TSMC, Samsung and Intel, the three most important customers, acquired an aggregate 23% equity stake in order to fund the development of EUV. Since then, they all have sold their shares.

The time and money required for the development of similar technologies are real barriers to entry. The technological expertise is even more difficult to overcome as highlighted by the inability for existing competitors such as Nikon and Canon to compete with ASML for EUV tools despite being able to produce DUV systems. Finally, customers are more likely to prefer ASML’s products (for a similar level of performance) because they trust the brand and are already familiar with existing products. A potential competitor would have to develop a much better technology or a significant cheaper one to eventually convince chipmakers to switch.

ASML Stock key metrics

ASML’s financial numbers are very impressive. Revenue grew at a 13% CAGR over the last decade and grew at an even faster pace of 22% CAGR over the period 2016/2021 thanks to the launch of higher-priced EUV systems (first unit sold in 2015). Operating margins improved from 25.6% in 2016 to 36.3% in 2021. As a result, EPS and FCF per share grew at a 31% and 50% CAGR over the same period, respectively. Finally, the ROIC improved significantly and averaged roughly 30% in average since 2015. Please note that 2021 ROIC benefits from the huge increase in deferred revenue that reduce the working capital (higher current liabilities).

Financial metrics

Source: Author and annual reports

The company is highly cash generative, which enable ASML to generate enough cash flow to pay for its development. ASML spent most of its cash on share buyback and dividend after meeting its capex requirements and occasional M&A transactions.

Sources and uses of cash

Source: Author

Growth opportunities

Semiconductors are mission-critical components that are essential in the proper functioning of electronic goods. Given continuous technological progress (5G, artificial intelligence…), increasing connectivity and data usage (autonomous vehicle, cloud computing, IoT…), and a strong willingness from humans to adopt new technologies, the demand for semiconductors is poised to keep growing over the coming decade. In addition, many governments consider semiconductors as an important part of their sovereignty and support projects that will bring back production capacity in their countries.

Semi end markets growth

Source: ASML

Improving the performance of a chip requires decreasing the etching thickness of integrated circuits, which will support the uptake of cutting-edge EUV tools. While the number of EUV system units shipped has increased significantly since its launch in 2015 (86% CAGR), it still account for a small portion of unit-mix (8% of total unit sold). However, EUV machines enjoy a much higher selling prices and stronger pricing power than other systems. As a result, EUV accounts now for 46% of total systems revenue whereas it was only 2% in 2015.

ASML has sold a total of about 140 EUV systems in the past decade, each one now costing up to $200 million, according to Wennink. The price tag for its next machine, called High NA, will be more than $300 million.

Source: CNBC

System sale

Source: Annual reports and author

The services segment accounts for about a quarter of total revenue and has also been growing nicely (a 17% CAGR since 2015) thanks to the growing installed base (15% units CAGR over the same period). The installed base should continue to grow going forward thanks to the increasing number of system sales. Customers will most likely continue to value high-value services such as software and tool upgrades that enable to improve the productivity (downtime reduction, cost reductions, production optimization, defect detection…) and extend the lifetime of systems.

Revenue split

Source: Annual reports and author

The company expects to generate between $ 24B and $ 30B of revenue in 2025 and believe that revenue will grow at a 11% CAGR over the period 2020/2030. Gross margin should improve from 52.7% in 2021 to55% in 2025 (midpoint of the 54%/56% guidance). We believe that these estimates are realistic because the demand is very strong as highlighted by the order book (more about that in the next section) and higher-margin EUV systems will account for a larger portion of business mix.

A quick review of Q2 financial results

ASML reported better than expected Q2 financial results but lowered its Q3 and full-year outlook. However, the company remains positive on global demand despite a more challenging economic environment. ASML does not notice any cancellations or push out from its clients and still face a demand, which is above its current manufacturing capacity. Total orders are at an all-time high (€ 8.5B) and the backlog reaches € 33B, offering significant visibility.

ASML now expects a full-year revenue growth of 10% (20% previously) and a gross margin comprised between 49% and 50% (52% previously). The guidance downgrade is the result of lower revenue due to fast shipments combined with an unfavorable product mix (fewer EUV systems) and inflationary pressure. In general, ASML completes final tests within its production facilities before shipping the systems to its clients. However, clients want to receive systems as quickly as possible because ASML faced delays in systems production because of supply-chain issues. As a result, ASML accelerates the delivery process by postponing the final tests in customer locations but cannot close the transaction before these tests have been fully implemented, thus revenue are not recognized (they are booked as deferred revenue).

The lower 2022 revenue expectations is not an issue for two reasons: 1) it does not affect cash flow (invoiced upon shipment) and 2) the situation will reverse over time (meaning that ASML will book more revenue at some time than what the number of shipment implies). We note that the guidance downgrade is fully the result of a delay in revenue recognition and has nothing to do with the number of systems shipped or the price of these systems. Indeed, the initial guidance expected 2021 revenue of € 18.6B to grow 20% and reach € 22.3B in 2022. However, the company expects now €2.8 billion value of fast shipments, a € 1.8B increase from initial expectations. Subtracting that number to the original revenue guidance of € 22.3B lead to € 20.5B, which is 10% higher than 2021 revenue, in line with new revenue growth rate expectation.

Valuation

The stock currently trades at a 4.9% FCF yield and a forward P/E of 26x, which seems justified for a company able to post a 30% ROIC and to grow revenue at a 10% CAGR over the next decade. Our model assumes that ASML will deliver the high-end of the company guidance in terms of unit sold. On top of that, we pencil strong pricing power (and product-mix improvement) for EUV machines. As a result, our scenario assumes EUR 32,9B revenue in 2025, significantly more than the EUR 30B company guidance. We derive a 41% operating margin and a net income of EUR 11.4B when the consensus forecasts a 38.5% operating margin and EUR 10.5B net income. Finally, we apply a FCF yield of 5% and a PE of 20x to value the company. The expected return is around 6/7% per year over the coming years, to which must be added a dividend yield of 1% (that will increase towards 2% as dividend grows) and the benefit of share repurchases that will most likely yield around 1/2%. As a result, we can expect a return around 8/10% per annum.

Revenue forecast

Source: Author

Valuation

Source: Author

Conclusion

ASML is a high-quality company whose business should continue to grow for many years thanks to the strong demand for semiconductors and its solid pricing power. We believe that the company could still offer attractive returns for its shareholders that is why we are happy to remain shareholders of the company.

Risks:

Bargaining power: ASML’s is highly dependent on few powerful clients (TSMC, Samsung, Intel, Micron…)

Supply chain disruption: ASML relies on a few key suppliers for some strategic components.

Technological disruption: Failing to develop new cutting-edge technologies while a competitor does could lead to a feat similar to Nikon or Canon who failed to adapt to new lithography technologies.

Geopolitical risk: Most semiconductors are manufactured in Taiwan, which is a disputed territory. Taiwan and the US considers Taiwan as a sovereign nation while China believes it is not.

Be the first to comment

Leave a Reply

Your email address will not be published.


*