KLA: Least WFE Company Impacted By Semiconductor Slowdown And U.S. Sanctions (KLAC)

Eyes Of The Fleet

Fox Photos/Hulton Archive via Getty Images

The demand for metrology/inspection equipment, a segment dominated by KLA (NASDAQ:KLAC), increases as semiconductor technology nodes decrease. The reason is that gross profits for a foundry per 300-mm wafer are $2,835 for a 28nm node vs. $8,695 for a 3nm node. At smaller nodes, any defect that could ruin a 3nm wafer represents a bigger loss to the company than a wafer made at 28nm. These killer defects are uncovered during metrology and inspection of wafers using equipment from KLAC.

Positioned Against Memory Slowdown and Cutbacks

Headwinds in the memory sector impact memory chip suppliers and equipment suppliers in two ways:

  • Slowdown of consumer electronics such as PCs and smartphones that use memory chips
  • Sanctions in China that will limit equipment at the 14nm node to non-Chinese memory chip producers in China, keeping in mind that Korea’s Samsung Electronics has a NAND flash plant in Xian, China, and is responsible for about 40% of Samsung Electronics’ total NAND production. Korea’s SK Hynix has a DRAM plant in Wuxi, China and is responsible for 50% of SK Hynix’s total DRAM production.

In Table 1, I show Revenue and Percentage of Revenue by equipment company to Korea. Korea’s semiconductor industry is mostly memory chips made by Samsung Electronics and SK Hynix. We see that KLAC has had lower revenue exposure to Korea than most competitors. This means that any reduction in memory equipment will have less impact on KLAC.

table

The Information Network

Table 2 shows Revenue and Percentage of Revenue by equipment company to China. Again, KLAC has a smaller revenue exposure to China than most competitors, particularly non-Japanese companies.

table

The Information Network

KLAC and its Competitors

There are about 700 steps to make a semiconductor device. KLAC generates 93% of its semiconductor WFE revenues from inspection and metrology equipment, which is used to monitor and control the processing of chips to prevent defects from lowering chip yield. Of the companies detailed in Tables 1 and 2, KLAC competes directly against ASML and AMAT.

According to The Information Network’s report entitled “Metrology, Inspection, and Process Control in VLSI Manufacturing,” KLAC’s inspection and metrology equipment in 2021 was $5.7 billion compared to AMAT’s $1.3 billion (7% of semiconductor WFE revenues) and ASML’s $0.6 billion (4% of semiconductor WFE revenues). This article is not meant as a referendum of the metrology/inspection segment, as this analysis covers all types of WFE revenues.

In Chart 1, I show revenues for top equipment companies over the past six months through Q2 2022, which in my estimate is how long this consumer slowdown has been ongoing, with the invasion of Ukraine a catalyst coupled with inflationary fears and high consumer costs. With discretionary money going for food and fuel, PC shipments slowed down after two years of double-digit growth, declining worldwide by 5.1% year-on-year in Q1 2022.

chart

The Information Network

Chart 1

Equipment revenue growth per company in Chart 1 is compared to the first six months of 2021. KLAC’s HoH growth was a significant 32.2% during this period of repressive headwinds. In contrast, top leading WFE (wafer front end) equipment suppliers Applied Materials (AMAT), Lam Research (LRCX), and ASML (ASML) fared significantly worse. For AMAT I use the Street Consensus figure since the company doesn’t report next quarter earnings for another few weeks. All three companies have been plagued by supply chain disruptions over the past several quarters, specifically ASML as I discussed in a July 21, 2022 Seeking Alpha article entitled “ASML: January Fire Responsible For Big Revenue Pushouts To 2023.”

Investor Takeaway

During KLA’s recent earnings call, KLAC lowered its WFE forecast for 2022 to increase to $95 billion from $87 billion in 2021. But the company expects the metrology/inspection segment to grow 20% YoY and thereby outgrow the WFE market.

Chart 2 shows percentage change in share price for ASML, AMAT, KLAC, and LRCX for a 1-year period. This chart shows two key issues:

  1. KLAC is the only leading WFE supplier with positive share price
  2. ASML, AMAT, and LRCX have similar percentage share price change, which is astonishing since AMAT and LRCX are strong competitors of each other and what is positive news for AMAT should be negative news for LRCX. ASML share price change is similar to the other two and there is almost no overlap in product technology except for the small portion of ASML and AMAT in the metrology/inspection segment as discussed above. This suggests that these semiconductor stocks are traded as a sector and not on an individual basis.
chart

YCharts

Chart 2

Chart 3 shows that over the YTD period, the spread between these three companies is even smaller.

chart

YCharts

Chart 3

Further illustrating the superior performance of KLA, Chart 4 compares Gross Profit Margin for the 1-year period.

chart

YCharts

Chart 4

Again, KLA outperformed the other competitors in revenue percentage change over a 1-year period, as shown in Chart 5.

chart

YCharts

Chart 5

Chart 6 compares Quant, SA Author, and Wall St. Analyst ratings for the four companies. KLAC is the only company with a Buy rating from all three reviewers.

chart

Seeking Alpha

Chart 6

Chart 7 shows Seeking Alpha Quant Factor Grades for the four companies, with KLAC outperforming its competitors, particularly Momentum as share price has been positive over the past year.

chart

Seeking Alpha

Chart 7

Metrology/inspection equipment systems not only analyze defectivity and metrology issues at critical points in the wafer, reticle, and IC manufacturing processes, but also provide information to customers so they can identify and address the underlying process issues. The ability to locate the source of defects and resolve the underlying process issues enables customers to improve control over their manufacturing processes, increasing their yield of high-performance parts and delivering products to market faster, thus maximizing profits.

The need for metrology/inspection equipment increases as semiconductor technology nodes decrease. The reason is that gross profits for a foundry per 300-mm wafer are $2,835 for a 28nm node vs. $8,695 for a 3nm node. At smaller nodes, any defect that could ruin a 3nm wafer represents a bigger loss to the company than a wafer made at 28nm. These killer defects are uncovered during metrology and inspection of wafers using equipment from KLAC.

Be the first to comment

Leave a Reply

Your email address will not be published.


*